URL details: fanpengkong.com/post/org-syntax-highlight/

URL title: Org mode syntax highlight for VHDL code - Fanpeng Kong
URL description: One problem I came across when I try to use Org mode as the markup language for my Pelian generated static blog is the syntax highlight for source code blocks. Org mode has the ability to highlight syntax of languages supported in its Babel functionality, be it in the Org mode buffer or the language major mode buffer, or exported PDF document or HTML file. However, things became a bit different for Org mode when it was used in Pelican through the org_reader plugin.
URL keywords: Fanpeng Kong, blog
URL last crawled: 2022-09-18
URL speed: 0.066 MB/s, downloaded in 0.300 seconds

open external url

We found no external links pointing to this url.